文章目录

    • 什么是 driver ?
    • 创建UVM驱动程序的步骤
    • UVM Driver——Sequencer 握手机制
    • driver/sequencer API 方法如何使用?
    • UVM Driver Example
    • 其他细节

什么是 driver ?

UVM driver是一种主动实体,它知道如何将信号驱动到设计的特定接口。例如,为了驱动像APB这样的总线协议,UVM驱动程序定义了信号应该如何定时,以使目标协议变得有效。所有driver类都应该直接或间接地扩展自uvm_driver

事务级对象(Transaction level objects)从Sequencer获取,并且UVM driver通过interface句柄将它们驱动到DUT中。

创建UVM驱动程序的步骤

  1. 创建继承自uvm_driver的自定义类,向工厂注册并调用new函数
// my_driver是用户给定的名称,用于表示从“uvm_driver”派生而来的这个类。<